Synapticad AllProducts

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.13c
synindexhead.gif


Семейство продуктов от компании SYNAPTICAD.
Пакет программ, разработанных компанией synapticad для анализа работы схем.Включает 8 программ для анализа конечных элементов электронных проектов.TestBencher Pro, VeriLogger Pro, WaveFormer Pro, WaveFormer Lite,DataSheet Pro, TimingDiagrammer Pro,BugHunter,Gigawave Viewer.

home _http://www.syncad.com/
_____________________________________________________________________________

TestBencher Pro

tbpchart.jpg


TestBencher Pro is a graphical test bench generator that dramatically reduces the time required to create and maintain test benches. One of the most time consuming tasks for users of HDL languages is coding test benches to verify the operation of their design. In his book "Writing Testbenches," Janick Bergeron estimates that 70% of design time is spent verifying HDL code models and that the test bench makes up 80% of the total HDL code generated during product development.TestBencher Pro automates the most tedious aspects of test bench development, allowing you to focus on the design and operation of the test bench.
_________________________________________________________________________________

VeriLogger Pro

verilogger_design_flow.gif


VeriLogger Pro, by SynaptiCAD is a complete design and verification environment for ASIC and FPGA designers. It contains a new type of Verilog simulation environment that combines all the features of a traditional Verilog simulator with the most powerful graphical test vector generator on the planet. Model testing is so fast in VeriLogger Pro that you can perform true bottom-up testing of every model in your design, a critical step often skipped in the race to market. Test vectors can be imported or exported from HP logic analyzers, pattern generators, and 3rd party VHDL, Verilog, and SPICE simulators for reuse. Simulation features include waveform viewing, optimized gate-level simulation, single-step debugging, point-and-click breakpoints, hierarchical browser for project management, and batch execution.
_________________________________________________________________________________

WaveFormer Pro

wfp_imp_exp.gif


WaveFormer Pro is a revolutionary new rapid-prototyping EDA tool that helps you design faster and with fewer mistakes. WaveFormer Pro enables you to automatically determine critical paths, verify timing margins, adjust for reconvergent fanout effects, and perform "what if" analysis to determine optimum clock speed. WaveFormer Pro also lets you specify and analyze system timing and perform Boolean level simulation without the need for schematics or simulation models. When your timing diagram is complete, you can then generate digital stimuli for your favorite Verilog, VHDL, SPICE or gate-level simulator. WaveFormer Pro has the ability to import and annotate simulation and logic analyzer data, for publication quality design documentation.
____________________________________________________________________________

DataSheet Pro

ssole.jpg


DataSheet Pro provides the ultimate environment for documentation professionals working with multi-diagram projects. Datasheet Pro's project management features allow users to efficiently combine diagrams from multiple engineers into one project with uniform formatting. Using Object Linking and Embedding (OLE), users can embed timing diagrams into other publishing programs. These images can be edited at any time directly from the publishing program by double clicking on the image to launch DataSheet Pro with the selected timing diagram. Other features include style sheets, view support, web-ready image generation, analog waveform import and display, and support for the industry-standard Timing Diagram Markup Language (TDML) format. Documentation professionals will be able to receive timing diagrams produced by design engineers using any TDML-compatible product, such as WaveFormer Pro or Timing Diagrammer Pro, and embed them directly into publishing programs like FrameMaker and Word.
________________________________________________________________________________

TimingDiagrammer Pro

http://www.syncad.com/art/ttd_screenshot.gif

Timing Diagrammer Pro has everything that you expect in a timing diagram editor: a modeless drawing and editing environment; delays, setups, and holds for performing timing analysis; time markers; seven graphical waveform states; virtual and group buses; clocks with formulas; as well as a variety of ways to document your work. A great timing analysis tool at a great price, Timing Diagrammer Pro is a must-have for any engineer.
__________________________________________________________________________

BugHunter

bhp_xp_main.gif


BugHunter uses the SynaptiCAD graphical environment and supports all major HDL simulators. It has the ability to launch the simulator, provide single step debugging, unit-level test bench generation, streaming of waveform data, project management, and a hierarchy tree. The unit-level test bench generation is unique in that it lets the user draw stimulus waveforms and then generates the stimulus model and wrapper code and launches the code. It is one of the fastest ways to test a model and make sure that everything is working correctly. The debugger also has exceptional support for VCD waveform files. With an integrated debugging environment you can graphically build a project, launch a simulation, and view the results in just a few minutes. The interface also manages the test bench interface so that it is easy to create a set of regression tests to run the design through.
_______________________________________________________________________________

Gigawave Viewer (единственная которая не захотела работать не в триале-15 дней в версии v10.17a)

gigawave_flow.gif


Gigawave Viewer combines SynaptiCAD's WaveViewer with our high-performancegigawave compression engine to create the lowest cost waveform viewer capable of handling multi-gigabyte VCD files. Gigawave viewer also comes with a PLI-based library (coming soon) that can be integrated with your favorite simulator to generate highly compressed BTIM files. Using BTIM waveform dumping can speed up simulation by up to 3x over dumping using an ordinary VCD dump and the resulting files are generally 100x smaller. BTIM files also load much faster than VCD files (typically around 500x faster)! GigaWave also loads SPICE results, TDML, logic analyzer data, and more.
_____________________________________________________________________________

Synapticad AllProducts v11.13c 67871KB (прога+лицензия)


http://www1.enfull.com/download5.asp?id=283&soft=enfull1
http://www1.enfull.com/download5.asp?id=283&soft=enfull2
http://www1.enfull.com/download5.asp?id=283&soft=enfull3
____________
регистрация
1) run "allproducts.exe" to install
2) after install, copy syncad.lic to
your x:\SynaptiCAD\ dir
 
Last edited by a moderator:

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v10.18d
шапку обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v10.18f
шапку обновил
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v10.19a
шапку обновил
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v10.19b
шапку обновил.
 

Msha

ex-Team DUMPz
Hacker
Professional Carder
Joined
Oct 12, 2014
Messages
7,156
Reaction score
2,481
Synapticad AllProducts v10.20b, ссылки в шапке темы.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.00a
шапку обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.03a
шапку обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.03b
шапку обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.05a
шапку темы обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.06a
шапку темы обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.06b
шапку темы обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.07b
шапку темы обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.09g
шапку темы обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.10b
шапку темы обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.11b
шапку темы обновил.
 

Vettal FR

Platinum
Legendary
Joined
Aug 13, 2015
Messages
5,214
Reaction score
3,883
Age
26
Synapticad AllProducts v11.13c
в очередной раз обновил шапку...
 

Vicci

ex-Team DUMPz
Joined
Apr 19, 2004
Messages
5,115
Reaction score
3,355
Age
63
Location
Градъ Петровъ
SynaptiCAD All Products 12.06a + лицензия; 80,6 MB
_http://rapidshare.com/files/52945806/SsynaptiCADAllProducts1206a.rar
Пароль на архив: http://dumpz.ru
 
Top